Altera Shift Register IP Core User Manual

Lpm_shiftreg megafunction, Features, General description

Advertising
background image

LPM_SHIFTREG Megafunction

2013.03.05

UG-033105

Subscribe

Feedback

This document describes the Altera

®

-provided megafunction IP core optimized for Altera

®

device

architectures. Using megafunctions instead of coding your own logic saves valuable design time, offering
more efficient logic synthesis and device implementation. Scale the megafunction's size by simply setting
parameters.

Features

The LPM_SHIFTREG megafunction implements a shift register and offers many additional features, including:

• Synchronous or asynchronous inputs to shift register
• Synchronous parallel load
• Left/right register shifting
• Optional inputs, including clock enable input, serial shift data input, and parallel input
• Optional outputs, including data output and serial shift data output

General Description

The LPM_SHIFTREG megafunction is a memory compilation IP core accessible from the Quartus II

®

MegaWizard

®

Plug-In Manager.

Shift registers are a type of sequential logic circuit, that mainly store digital data. These cores are comprised
of a group of flip-flops connected in a chain so that the output from one flip-flop becomes the input of the
next flip-flop. All the flip-flops are driven by a common clock and are set or reset simultaneously. A shift
register is useful for converting parallel signals to serial signals and vice versa. Most of the registers possess
no characteristic internal sequence of states.

The shift register megafunction is highly parameterizable block of logic. You can use this megafunction to
implement long delay chains. The megafunction provides for either left shift or right shift of the input data
bits. Shifted data is either loaded in parallel into the registers synchronously, or in serial through the shiftin
input of the megafunction. The loaded data is then shifted with the rising edge of clock input.

The shift operation is a single clock-edge operation with an active-high clock enable feature. When enable
is High, the input (D) is loaded into the first bit of the shift register, and each bit is shifted to the next highest
bit position. Cascading of shift registers is another way of using the LPM_SHIFTREG megafunction to
achieve higher shift count or bit count.

Optional inputs are available to asynchronously clear or set the registers, or synchronously clear or set the
registers. Using this feature, you can either set the initial value of all the registers to 1, or to a desired value.
Parallel output q[] is used to read parallel data from the shift register. Parallel data is always available on

ISO

9001:2008
Registered

©

2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX

words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words
and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html.
Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the
right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application
or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to
obtain the latest version of device specifications before relying on any published information and before placing orders for products or services.

www.altera.com

101 Innovation Drive, San Jose, CA 95134

Advertising