Digilent DIO2 User Manual

Digilent Hardware

Advertising
background image

Doc: 502-005

page 1 of 19

Copyright Digilent, Inc. All rights reserved. Other product and company names mentioned may be trademarks of their respective owners.

D

D

i

i

g

g

i

i

l

l

e

e

n

n

t

t

D

D

I

I

O

O

2

2

R

R

e

e

f

f

e

e

r

r

e

e

n

n

c

c

e

e

M

M

a

a

n

n

u

u

a

a

l

l

®

w w w . d i g i l e n t i n c . c o m

Revision: 5/7/02

215 E Main Suite D | Pullman, WA 99163

(509) 334 6306 Voice and Fax



Overview

The Digilab Digital I/O board 2 (the DIO2) is
one of several expansion boards designed to
mate with Digilab system boards. The DIO2
board provides an assortment of frequently
used digital I/O devices, many of which are
controlled by an on-board Xilinx XC95108
CPLD. The CPLD also provides a bus interface
to simplify communications with Digilab
system boards. DIO2 boards can be combined
with Digilab system boards to implement
projects ranging from basic logic designs to
general computer systems, without the need for
any other components.

DIO2 board features include:

• A XC95108 CPLD for I/O device and

system bus control;

• A 16x2 character LCD with integral

Samsung controller IC;

• A four digit seven-segment LED display;
• 16 individual LEDs of various colors;

• An 8-bit VGA port;

• A 15-button keypad;
• 8 slide switches;

• A PS2 mouse/keyboard port;

• An on board 5VDC regulator.



The CPLD comes pre-configured with the
circuit shown in Appendix 1, but it can also be
reprogrammed from any Xilinx CAD tool,
including the free WebPack tools available
from the Xilinx website. CPLD configuration
can be accomplished using the Xilinx JTAG
cable or the Digilent XC95 programming
board.

16x2
LCD

15 LEDs

4 7-seg.

displays

8 switches

15 button

keypad

PS2
port

XC95108

PC84

10

VGA

port

5VDC

regulator

2

3

8

data

control

4

address

6

JTAG

4

Connector B

Connector A

GND

VU

VDD

DIO2 circuit board block diagram

Advertising