Altera SDC and TimeQuest API User Manual

Page 75

Advertising
background image

Chapter 2: SDC and TimeQuest API Package and Commands

2–65

sta

© December 2009

Altera Corporation

SDC and TimeQuest API Reference Manual

Command

Page

add_to_collection ................................................................................................................................... 2–67
check_timing........................................................................................................................................... 2–68
create_report_histogram ....................................................................................................................... 2–70
create_slack_histogram ......................................................................................................................... 2–72
create_timing_netlist ............................................................................................................................. 2–74
create_timing_summary ....................................................................................................................... 2–76
delete_timing_netlist ............................................................................................................................. 2–77
enable_ccpp_removal ............................................................................................................................ 2–78
enable_sdc_extension_collections ....................................................................................................... 2–79
get_available_operating_conditions ................................................................................................... 2–80
get_cell_info ............................................................................................................................................ 2–81
get_clock_domain_info ......................................................................................................................... 2–82
get_clock_fmax_info .............................................................................................................................. 2–83
get_clock_info ......................................................................................................................................... 2–84
get_datasheet .......................................................................................................................................... 2–86
get_default_sdc_file_names.................................................................................................................. 2–88
get_edge_info.......................................................................................................................................... 2–89
get_edge_slacks ...................................................................................................................................... 2–90
get_min_pulse_width............................................................................................................................ 2–91
get_net_info ............................................................................................................................................ 2–92
get_node_info ......................................................................................................................................... 2–93
get_object_info........................................................................................................................................ 2–94
get_operating_conditions ..................................................................................................................... 2–95
get_operating_conditions_info ............................................................................................................ 2–96
get_partition_info................................................................................................................................... 2–97
get_path ................................................................................................................................................... 2–98
get_path_info ........................................................................................................................................ 2–100
get_pin_info .......................................................................................................................................... 2–103
get_point_info....................................................................................................................................... 2–104
get_port_info......................................................................................................................................... 2–107
get_register_info................................................................................................................................... 2–108
get_timing_paths.................................................................................................................................. 2–109
locate ...................................................................................................................................................... 2–112
query_collection ................................................................................................................................... 2–114
read_sdc................................................................................................................................................. 2–115
remove_from_collection...................................................................................................................... 2–116
report_advanced_io_timing ............................................................................................................... 2–117
report_bottleneck ................................................................................................................................. 2–118
report_clock_fmax_summary ............................................................................................................ 2–120
report_clock_transfers ......................................................................................................................... 2–121
report_clocks......................................................................................................................................... 2–122
report_datasheet................................................................................................................................... 2–123
report_ddr ............................................................................................................................................. 2–124
report_exceptions................................................................................................................................. 2–125
report_max_skew................................................................................................................................. 2–129
report_metastability............................................................................................................................. 2–132
report_min_pulse_width .................................................................................................................... 2–135
report_net_delay .................................................................................................................................. 2–137
report_net_timing ................................................................................................................................ 2–138
report_partitions .................................................................................................................................. 2–139

Advertising