Altera Phase-Locked Loop Reconfiguration IP Core User Manual

Features

Advertising
background image

February 2012

Altera Corporation

UG-032405-6.0

User Guide

© 2012 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS,

QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark

Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their

respective holders as described at

www.altera.com/common/legal.html

. Altera warrants performance of its semiconductor

products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any

products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use

of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are

advised to obtain the latest version of device specifications before relying on any published information and before placing orders

for products or services.

101 Innovation Drive
San Jose, CA 95134

www.altera.com

Subscribe

ISO

9001:2008

Registered

Phase-Locked Loop Reconfiguration

(ALTPLL_RECONFIG) Megafunction

This user guide describes the features and behavior of the ALTPLL_RECONFIG
megafunction that you can configure through the parameter editor in the Quartus

®

II

software.

f

This user guide assumes that you are familiar with megafunctions and how to create
them. If you are unfamiliar with Altera megafunctions or the parameter editor, refer
to the

Introduction to Megafunctions User Guide

.

Phase-locked loops (PLLs) use divide counters and voltage-controlled oscillator
(VCO) phase taps to perform frequency synthesis and phase shifts. In enhanced and
fast PLLs, you can reconfigure the counter settings as well as phase shift the PLL
output clock in real time. You can also change the charge-pump and loop-filter
components, which dynamically affect the PLL bandwidth. The ALTPLL_RECONFIG
megafunction implements reconfiguration logic to facilitate dynamic real-time
reconfiguration of PLLs in Altera devices. You can use the megafunction to update the
output clock frequency, PLL bandwidth, and phase shifts in real time, without
reconfiguring the entire FPGA.

Features

The ALTPLL_RECONFIG megafunction offers the following additional features to the
ALTPLL megafunction:

Reconfiguration of pre-scale counter (N) parameters.

Reconfiguration of feedback counter (M)

parameters.

Reconfiguration of post-scale output counter (C) parameters.

Reconfiguration of delay element or phase shift of each counter. For Stratix

®

III,

Stratix IV, Cyclone

®

III, Cyclone IV, HardCopy

®

III, HardCopy IV, and

Arria

®

II GX devices, use the ALTPLL megafunction to access this feature.

Dynamic adjustment of the charge-pump current and loop-filter components to
facilitate dynamic reconfiguration of the PLL bandwidth. This feature is available
only in Arria GX, HardCopy II, Stratix II, Stratix II GX, Stratix III, and Stratix IV
devices.

Reconfiguration from multiple configuration files using external read-only
memory (ROM) in user mode. This feature is available only in Stratix III, Stratix IV,
Cyclone III, Cyclone IV, and Arria II GX devices. The ALTPLL_RECONFIG
supports reconfiguration from Memory Initialization File (.mif) and Hexadecimal
File (.hex).

Advertising