Get_default_sdc_file_names, Get_default_sdc_file_names –88 – Altera SDC and TimeQuest API User Manual

Page 98

Advertising
background image

2–88

Chapter 2: SDC and TimeQuest API Package and Commands

sta

SDC and TimeQuest API Reference Manual

© December 2009

Altera Corporation

get_default_sdc_file_names

Usage

get_default_sdc_file_names

Options

None

Description

Returns the default SDC file name(s) used by the Quartus II Compiler when doing timing-driven
optimizations.

Returns the value for the QSF variable SDC_FILE. If multiple assignments are found, return them as a list
If not specified, return <revision_name>.sdc.

Example

project_new test
create_timing_netlist
foreach file [get_default_sdc_file_names] {
read_sdc $file
}
update_timing_netlist

report_timing

delete_timing_netlist
project_close

Advertising