Figure 15-7 – Digilent 410-087P-KIT User Manual

Page 120

Advertising
background image

120

www.xilinx.com

Spartan-3E Starter Kit Board User Guide

UG230 (v1.0) March 9, 2006

Chapter 15: Expansion Connectors

R

Figure 15-7:

UCF Location Constraints for Accessory Headers

# ==== FX2 Connector (FX2) ====

NET

"FX2_CLKIN"

LOC

= "E10" |

IOSTANDARD

= LVCMOS33 ;

NET

"FX2_CLKIO"

LOC

= "D9" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_CLKOUT"

LOC

= "D10" |

IOSTANDARD

= LVCMOS33 | SLEW = FAST |

DRIVE

= 8 ;

# These four connections are shared with the J1 6-pin accessory header

NET

"FX2_IO<1>"

LOC

= "B4" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<2>"

LOC

= "A4" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<3>"

LOC

= "D5" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<4>"

LOC

= "C5" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

# These four connections are shared with the J2 6-pin accessory header

NET

"FX2_IO<5>"

LOC

= "A6" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<6>"

LOC

= "B6" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<7>"

LOC

= "E7" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<8>"

LOC

= "F7" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

# These four connections are shared with the J4 6-pin accessory header

NET

"FX2_IO<9>"

LOC

= "D7" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<10>"

LOC

= "C7" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<11>"

LOC

= "F8" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<12>"

LOC

= "E8" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

# The discrete LEDs are shared with the following 8 FX2 connections

#

NET

"FX2_IO<13>"

LOC

= "F9" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

#

NET

"FX2_IO<14>"

LOC

= "E9" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

#

NET

"FX2_IO<15>"

LOC

= "D11" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

#

NET

"FX2_IO<16>"

LOC

= "C11" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

#

NET

"FX2_IO<17>"

LOC

= "F11" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

#

NET

"FX2_IO<18>"

LOC

= "E11" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

#

NET

"FX2_IO<19>"

LOC

= "E12" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

#

NET

"FX2_IO<20>"

LOC

= "F12" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<21>"

LOC

= "A13" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<22>"

LOC

= "B13" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<23>"

LOC

= "A14" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<24>"

LOC

= "B14" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<25>"

LOC

= "C14" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<26>"

LOC

= "D14" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<27>"

LOC

= "A16" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<28>"

LOC

= "B16" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<29>"

LOC

= "E13" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<30>"

LOC

= "C4" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<31>"

LOC

= "B11" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<32>"

LOC

= "A11" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<33>"

LOC

= "A8" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<34>"

LOC

= "G9" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IP<35>"

LOC

= "D12" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IP<36>"

LOC

= "C12" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IP<37>"

LOC

= "A15" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IP<38>"

LOC

= "B15" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IO<39>"

LOC

= "C3" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

NET

"FX2_IP<40>"

LOC

= "C15" |

IOSTANDARD

= LVCMOS33 |

SLEW

= FAST |

DRIVE

= 8 ;

Advertising