Viterbi parameters, Viterbi parameters -10 – Altera Viterbi Compiler User Manual

Page 28

Advertising
background image

Table 3-2: Code Sets Parameters

Parameter

Value

Description

Number of

Code Sets

1 to 8

The Viterbi IP core supports multiple code definitions. The multiple

code set option allows up to eight code sets, where a code set comprises

a code rate and associated generating polynomials.

Number of

coded bits.

(N)

2 to 7 (hybrid)
2 to 4 (parallel)

For every bit to be encoded, N bits are output. With the multiple code

set option there are up to 5 different N parameters, which can be in any

order. Valid only for Viterbi mode. For TCM mode only N = 2 is

supported

Constraint

length (L)

3 to 9

The constraint length. Defines the number of states in the convolu‐

tional encoder, where number of states = 2(L – 1). You can choose

different values of L for each code set.

Decimal or

Octal

Decimal or octal base representation for the generator polynomials.

The design file representation is decimal, but you have the option of

entering in either decimal or octal base.

Mode

V or T

Viterbi (V) or TCM mode (T).

GA, GB, GC,

GD, GE, GF,

GG

The generator polynomials. If you use the multiple code set option, the

wizard enters a different set of polynomials in the respective gi group.

The wizard provides default values that you can overwritte by any valid

polynomial. (The wizard does not check whether the entered values are

valid.) The parallel architecture uses only GA, GB, GC, and GD.

For multiple code sets, the first code definition corresponds to the first line and is selected with

sel_code

input = 0; the second line is selected with

sel_code

= 1; the third with

sel_code

= 2 and so on. For each

code definition you can select N, the polynomials, the constraint length L, and the mode (Viterbi or

TCM). You can mix different constraint lengths with different TCM and Viterbi modes. The test data,

which the wizardr creates, tests each of the code definitions. You can see these tests in the simulation with

the testbench or if you look at the

block_period_stim.txt

file.

In hybrid mode, for constraint lengths of 3 and 4, the bitwidth of

tr_init_state

is 4, but the MegaCore

function ignores the redundant higher bits.
For multiple constraint lengths, some of the last decoded bits may be incorrect, becauset of the Viterbi

algorithm. To avoid this effect, give a lower BER, and reduce the probability of being on the wrong trellis

path, set Optimization to None and turn on Best State Finder.

Viterbi Parameters

Parameter

Value

Description

Maximum constraint length

(L

MAX

)

5 to 9 (hybrid)
3 to 9 (parallel)

The maximum constraint length

L

MAX

. .

3-10

Viterbi Parameters

UG-VITERBI

2014.12.15

Altera Corporation

Viterbi IP Core Functional Description

Send Feedback

Advertising