Achronix Speedster22i Snapshot User Manual

Page 10

Advertising
background image

trstn : in std_logic ;

tms : in std_logic ;

tdi : in std_logic ;

tdo : out std_logic ;

usr_clk : in std_logic ;

Monitor_ch : in std_logic_vector (MNTR_WIDTH-1 downto 0);

trigger_ch : in std_logic_vector (35 downto 0) ;

rstn_out : out std_logic ;

Arm : in std_logic_vector (35 downto 0) ;

Stimuli : out std_logic) ;

end component ;

component users_logic is

port (

clk : in std_logic ;

rstn : in std_logic;

data_out_from_block : out std_logic_vector (MNTR_WIDTH-1 downto 0);

data_for_trigger : out std_logic_vector (35 downto 0);

snapshot_arm : out std_logic;

stimuli : out std_logic_vector (35 downto 0));

end component ;

---- SIGNAL DECLARATION ---

signal : monitor_ch_to_monitor : std_logic_vector (MNTR_WIDTH-1 downto 0) ;

signal : trigger_ch_to_trigger : std_logic_vector (35 downto 0) ;

--- THESE SIGNALS WILL GO TO TRIGGER-DETECTOR BLOCK TO DO ---- ----

--- PATTERN MATCHING -----

signal : rstn : std_logic ;

signal : arm : std_logic ;

signal : stimuli : std_logic_vector (35 downto 0) ;

begin

---- MACRO INSTANTIATION ---

snapshot_instance : ACX_SNAPSHOT

generic map (MNTR_WIDTH => 144)

port map (

tck => tck,

trstn => trstn,

tms => tms,

tdi => tdi,

tdo => tdo,

usr_clk => usr_clk,

Monitor_ch => monitor_ch_to_monitor,

trigger_ch => trigger_ch_to_trigger,

rstn_out => rstn,

Arm => arm,

Stimuli => stimuli) ;

---- NOTE: GENERIC MNTR_WIDTH VALUE OF SNAPSHOT MACRO ---

---- DEPENDS ON MONITOR CHANNEL WIDTH. BELOW IS THE TABLE --- -

---- SHOWS HOW THE RAM SIZE GETS IMPLEMENT BY MONITOR CH WIDTH -

---------------------------------------------------------------

-- RAM_SIZE

Monitor-Channel-Width

--- 0

36

--- 0

72

--- 1

108

--- 1

144

---------------------------------------------------------------

10

UG016, September 22, 2014

Advertising