Achronix Speedster22i Snapshot User Manual

Page 14

Advertising
background image

Figure 6: Snapshot Debugger Tool view

a. Select the Download tab from the GUI

b. Specify the correct pod name in the “Specify Pod Name” box.

c. Match the “Monitor Channel Width” size (drop-down box) to the Monitor_ch size

that is connected to the snap_shot_core module inside the RTL.

d. Select either “Trigger1” or “Trigger 2” or “Trigger 3”, depending on how many

trigger conditions must be met before data is captured. For each of the trigger

conditions, enter the desired matching pattern and masking sequence (don’t cares)

e. Set the Frequency to match the usr_clk constraint set in the SDC file.

f. Snapshot is now ready to be triggered. Select the “Arm” button, and the Snapshot

debugging tool will begin to analyze the design, wait for the trigger conditions to be

matched, and display the captured results to the “Snapshot.log” file in the GUI or

VCD file in the waveform GUI.

In the Snapshot Debugger GUI, there are several options including “JTAG Scan Chain”,

“Trigger”, “Monitor Channel Width”, “Pre_store”, “Select Using AND”, and “Select Using

OR”, “Arm”, and “Cancel” to specify or customize the data logging mechanism. These

options are described below.

14

UG016, September 22, 2014

Advertising