C. watchdog timer configuration, Appendix 64, Mi946f user’s manual – IBASE MI946 User Manual

Page 68

Advertising
background image

APPENDIX

64

MI946F User’s Manual

C. Watchdog Timer Configuration


The WDT is used to generate a variety of output signals after a user
programmable count. The WDT is suitable for use in the prevention of
system lock-up, such as when software becomes trapped in a deadlock.
Under these sorts of circumstances, the timer will count to zero and the
selected outputs will be driven. Under normal circumstance, the user will
restart the WDT at regular intervals before the timer counts to zero.

SAMPLE CODE:

File of the W627UHG.CPP

//---------------------------------------------------------------------------
//
// THIS CODE AND INFORMATION IS PROVIDED "AS IS" WITHOUT WARRANTY OF ANY
// KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
// IMPLIED WARRANTIES OF MERCHANTABILITY AND/OR FITNESS FOR A PARTICULAR
// PURPOSE.
//
//---------------------------------------------------------------------------
#include "W627UHG.H"
#include <dos.h>
//---------------------------------------------------------------------------
unsigned int W627UHG_BASE;
void Unlock_W627UHG (void);
void Lock_W627UHG (void);
//---------------------------------------------------------------------------
unsigned int Init_W627UHG(void)
{

unsigned int result;

unsigned char ucDid;


W627UHG_BASE = 0x4E;

result = W627UHG_BASE;


ucDid = Get_W627UHG_Reg(0x20);

if (ucDid == 0xA2)

//W83627UHG??

{

goto Init_Finish;

}


W627UHG_BASE = 0x2E;

result = W627UHG_BASE;


ucDid = Get_W627UHG_Reg(0x20);

if (ucDid == 0xA2)

//W83627UHG??

{

goto Init_Finish;

}


W627UHG_BASE = 0x00;

result = W627UHG_BASE;


Init_Finish:

return (result);

}
//---------------------------------------------------------------------------
void Unlock_W627UHG (void)

Advertising