Altera ALTDLL User Manual

Page 16

Advertising
background image

Chapter 2: Getting Started

2–10

Design Example: Implementing Read Paths Using Stratix III Devices

© February 2012

Altera Corporation

ALTDLL and ALTDQ_DQS Megafunctions User Guide

Generate the ALTIOBUF Megafunction

You must generate the ALTIOBUF megafunction to set the following I/O buffer
settings:

1 input buffer for input DQS pin

8 input buffers for input DQ pins

To generate the ALTIOBUF megafunction, perform the following steps:

1. Double-click anywhere on the Block Editor window. The Symbol window appears.

2. Click MegaWizard Plug-In Manager. Page 1 of the MegaWizard Plug-In Manager

appears.

3. Select Create a new custom megafunction variation.

4. Click Next. Page 2a of the MegaWizard Plug-In Manager appears. Select

ALTIOBUF

, and Verilog HDL, and type the file name as ibuf_input_dqs.v (for

DQS pin) or ibuf_input_dq.v (for DQ pins).

5. On the Parameter Settings page, specify the parameters as shown in

Table 2–8

.

These parameters configure the general settings for the ALTIOBUF instance.

6. On the Dynamic Delay Chains page, specify the parameters as shown in

Table 2–9

.

Table 2–8. ALTIOBUF General Settings

Settings

Value

1 input buffer for the

input DQS pins

8 input buffer for the

input DQ pins

Currently selected device family

Stratix III

Stratix III

How do you want to configure this module?

As an input buffer

As an input buffer

What is the number of buffers to be
instantiated?

1

8

Use bus hold circuitry

Turned off.

Turned off.

Use differential mode

Turned off.

Turned on.

Use open drain output

Turned off.

Turned off.

Use output enable port

Turned off.

Turned off.

Use dynamic termination control

Turned off.

Turned off.

Use series and parallel termination control

Turned off.

Turned off.

Table 2–9. ALTIOBUF Dynamic Delay Chain Settings

Settings

Value

1 input buffer for the

input DQS pins

8 input buffer for the

input DQ pins

Enable input buffer dynamic delay chain

Turned off.

Turned off.

Enable output buffer dynamic delay chain 1

Turned off.

Turned off.

Enable output buffer dynamic delay chain 2

Turned off.

Turned off.

Create a ‘clkena’ port

Turned off

Turned off.

Advertising
This manual is related to the following products: