Altdq_dqs parameter editor, Altdq_dqs parameter editor –5 – Altera ALTDLL User Manual

Page 23

Advertising
background image

Chapter 3: Parameter Settings

3–5

ALTDQ_DQS Parameter Editor

© February 2012

Altera Corporation

ALTDLL and ALTDQ_DQS Megafunctions User Guide

Choose from the following file types:

Quartus II IP file (<function name>.qip)

Instantiation template file (<function name>.v)

Verilog HDL black box file (<function name>_bb.v)

AHDL Include file (<function name>.inc)

VHDL component declaration file (<function name>.cmp)

Quartus II symbol file (<function name>.bsf)

If you select Generate netlist on the Simulation Model page, the file for that netlist is
also available. A gray checkmark indicates a file that is automatically generated, and a
green checkmark indicates generation of an optional file

ALTDQ_DQS Parameter Editor

This section provides information about the ALTDQ_DQS MegaWizard parameters.

1

For advanced users who may use the clearbox generator, the clearbox parameter
names are provided for the corresponding MegaWizard parameters.

The Parameter Settings page in the ALTDQ_DQS parameter editor allows you to
configure the parameters in

Table 3–3

.

Advertising
This manual is related to the following products: