Altera PowerPlay Early Power Estimator User Manual

Page 43

Advertising
background image

Column Heading

Description

# of Channels

Enter the number of channels used in this

transceiver domain. These channels are grouped

together in one transceiver block or two adjacent

transceiver blocks and clocked by one or more

common PLLs. The number of channels allowed in

each domain depends on the selected protocol.

Protocol

Enter the communication protocol or standard

these transceivers implement. Choose from the

drop-down list.
For custom protocols not listed in the drop-down

list, choose Basic or Basic (PMA Direct) protocol

and adjust other settings appropriately.

V

CCA

Voltage

Enter the voltage of the V

CCA

power rail used by the

transceiver block.
This option is available for Arria V GZ, Stratix IV

GX, and Stratix V devices only.

V

CCH_GXB

Voltage

Enter the voltage of the V

CCH_GXB

power rail used

by the transceiver block.
This option is available for Arria V GZ, Stratix IV

GX, and Stratix V devices only.

V

CCL_GXB

, V

CCR

, and V

CCT

Voltage

Select the voltage for the V

CCL_GXB

, V

CCR

, and V

CCT

power rails.

Operation Mode

Enter the operation mode implemented by the

transceiver block. Options include:
Receiver and Transmitter

Receiver only

Transmitter only

Data Rate (Mbps)

Enter the transceiver data rate (in Mbps).

V

OD

Setting

Enter the V

OD

setting (mV) of the gigabit

transceiver block (GXB) transmitter channel PMA.

It is assumed that the transmitter uses a termination

resistance of 100 Ω .

PLL Sharing

Specify the number of transmitter PLLs that are

shared by the transceiver channels on the same row.

This value is ignored for Receiver-only channels.

For device families that support using fPLLs as

transmitter PLLs such as channels on a given row

use only fPLLs as transmitter PLLs, enter 0 for PLL

sharing and add appropriate number of fPLLs in the

PLL worksheet.

UG-01070

2015.01.20

XCVR Worksheet

3-33

PowerPlay Early Power Estimator Worksheets

Altera Corporation

Send Feedback

Advertising