Altera Clock Control Block IP Core User Manual

Page 12

Advertising
background image

2–6

Chapter 2: Parameter Settings

Command Line Interface Parameters

Clock Control Block (ALTCLKCTRL) Megafunction

February 2014

Altera Corporation

User Guide

Advertising