About this megafunction, Features, Device support – Altera Clock Control Block IP Core User Manual

Page 5: Chapter 1. about this megafunction, Features –1 device support –1

Advertising
background image

February 2014

Altera Corporation

Clock Control Block (ALTCLKCTRL) Megafunction

User Guide

1. About this Megafunction

The clock control block (ALTCLKCTRL) megafunction is a clock control function
provided by the Quartus

®

II MegaWizard

Plug-In Manager to easily configure the

clock control block in supported devices.

The common applications of using this megafunction are as follows:

Dynamic clock source selection—When using the clock control block, you can
select the dynamic clock source that drives the global clock network. However,
only certain combinations of signal sources are supported, as described in

“Global

Clock Control Block” on page 3–2

. You cannot select clock sources dynamically to

drive the regional clock networks and the dedicated external clock-out path.

Dynamic power-down of a clock network—The dynamic clock enable or disable
feature allows internal logic to power-down the clock network. When a clock
network is powered-down, all the logic fed by that clock network is not toggling,
thus the overall power consumption of the device is reduced.

Features

The ALTCLKCTRL megafunction provides the following additional features:

Supports specification of operation mode of the clock control block

Supports specification of the number of input clock sources

Provides an active high clock enable control input

Device Support

The ALTCLKCTRL megafunction is available for the following devices:

Arria

®

II GX

Arria II GZ

Arria V

Arria V GZ

Arria 10

Cyclone

®

III

Cyclone III LS

Cyclone IV E

Cyclone IV GX

Cyclone V

Stratix

®

III

Stratix IV

Stratix V

Advertising