Programming .pof into internal flash, Programming .pof into internal flash -7 – Altera MAX 10 FPGA User Manual

Page 37

Advertising
background image

Note: The JTAG Secure feature will be disabled by default in Quartus II. If you are interested in

using the JTAG Secure feature, contact Altera for support.

Caution:

MAX 10 FPGA device would become permanently locked if user enabled JTAG secure

mode in the POF file and POF is encrypted with the wrong key.

f. Verify Protect.

g. Allow encrypted POF only.

h. Watchdog timer for dual configuration and watching value (Enabled after adding 2

.sof

page with 2

design that compiled with Dual Compressed Internal Images).

i. User Flash Memory settings.

5. In the File name box, specify the file name for the programming file you want to create.

6. To generate a Memory Map File (

.map

), turn on Create Memory Map File (Auto generate

output_file.map). The .map contains the address of the CFM and UFM with the ICB setting that you

set through the Option/Boot Info option.

7. To generate a Raw Programming Data (

.rpd

), turn on Create config data RPD (Generate

output_file_auto.rpd).
With the help of Memory Map File, you can identify the data of each functional block easily. You can

also extract the flash data for third party programming tool or update the configuration or user data

through Altera On-Chip Flash IP.

8. The

.sof

can be added through Input files to convert list and you can add up to two

.sof

files.

For remote system upgrade purpose, you can retain the original page 0 data in the

.pof

, and replaces

page 1 data with new

.sof

file. To perform this, user need to add the

.pof

file in page 0, then add

.sof

page, then add the new

.sof

file to page 1.

9. After all settings are set, click Generate to generate related programming file.

Related Information

MAX 10 User Flash Memory User Guide

Provides more information about Altera On-Chip Flash IP Core.

Programming .pof into Internal Flash

You can use the Quartus II Programmer to program the

.pof

into the CFM through JTAG interface. The

Quartus II Programmer also allows you to program the UFM part of the internal flash.
To program the

.pof

into the flash, follow these steps:

1. In the Programmer window, click Hardware Setup and select USB Blaster.

2. In the Mode list, select JTAG.

3. Click Auto Detect button on the left pane.

4. Select the device to be programmed, and click Add File.

5. Select the

.pof

to be programmed to the selected device.

6. There are several options in programming the internal flash:

UG-M10CONFIG

2015.05.04

Programming .pof into Internal Flash

3-7

MAX 10 FPGA Configuration Design Guidelines

Altera Corporation

Send Feedback

Advertising