Generating a netlist for eda tool use, Using the port and parameter definitions, Identifying a megafunction after compilation – Altera RAM-Based Shift Register User Manual

Page 13: Simulation

Advertising
background image

Chapter 2: Getting Started

2–7

Identifying a Megafunction after Compilation

May 2013

Altera Corporation

RAM-Based Shift Register (ALTSHIFT_TAPS) Megafunction User Guide

f

For more information about the wizard-generated files, refer to the Quartus II Help or
to the

Recommended HDL Coding Styles

chapter in volume 1 of the Quartus II Handbook.

Generating a Netlist for EDA Tool Use

If you use a third-party EDA synthesis tool, you can instantiate the megafunction
variation file as a black box for synthesis. Use the VHDL component declaration or
Verilog HDL module declaration black-box file to define the function in your
synthesis tool, and then include the megafunction variation file in your Quartus II
project.

If you enable the option to generate a synthesis area and timing estimation netlist in
the MegaWizard Plug-In Manager, the wizard generates an additional netlist file
(_syn.v). The netlist file is a representation of the customized logic used in the
Quartus II software. The file provides the connectivity of the architectural elements in
the megafunction but may not represent the true functionality. This information
enables certain third-party synthesis tools to better report area and timing estimates.
In addition, synthesis tools can use the timing information to focus timing-driven
optimizations and improve the quality of results.

f

For more information about using megafunctions in your third-party synthesis tool,
refer to the appropriate chapter in the

Synthesis

section in volume 1 of the Quartus II

Handbook.

Using the Port and Parameter Definitions

Instead of using the MegaWizard Plug-In Manager, you can instantiate the
megafunction directly in your Verilog HDL, VHDL, or AHDL code by calling the
megafunction and setting its parameters as you would any other module, component,
or subdesign.

1

Altera strongly recommends that you use the MegaWizard Plug-In Manager for
complex megafunctions. The MegaWizard Plug-In Manager ensures that you set all
megafunction parameters properly.

f

For a list of the megafunction ports and parameters, refer to

Chapter 3, Specifications

.

Identifying a Megafunction after Compilation

During compilation with the Quartus II software, analysis and elaboration are
performed to build the structure of your design. You can locate your megafunction in
the Project Navigator window by expanding the compilation hierarchy and locating
the megafunction by its name.

To search for node names within the megafunction (using the Node Finder), click
Browse

in the Look in box and select the megafunction in the Hierarchy box.

Simulation

The Quartus II Simulator provides an easy-to-use, integrated solution for performing
simulations. The following sections describe the simulation options.

Advertising