Altera RAM-Based Shift Register User Manual

Page 23

Advertising
background image

Chapter 3: Specifications

3–3

Ports and Parameters for the ALTSHIFT_TAPS Megafunction

May 2013

Altera Corporation

RAM-Based Shift Register (ALTSHIFT_TAPS) Megafunction User Guide

Table 3–3

shows the ALTSHIFT_TAPS megafunction parameters.

Table 3–3. Shift Register (RAM-based) MegaWizard Plug_In Parameters

Name

Type

Required

Description

NUMBER_OF_TAPS

Integer

Yes

Specifies the number of regularly spaced taps along the shift register.

TAP_DISTANCE

Integer

Yes

Specifies the distance between the regularly spaced taps in clock cycles.
This number translates to the number of RAM words that will be used.
TAP_DISTANCE

must be at least 3.

WIDTH

Integer

Yes

Specifies the width of the input pattern.

POWER_UP_STATE

String

No

Specifies the shift register contents at power-up. Values are CLEARED and
DONT_CARE

. If omitted, the default is CLEARED.

Value

Description

CLEARED

Zero content. For Stratix and
Stratix II device families, you must
use M512 or M4K RAM blocks.

DONT_CARE

Unknown contents. M-RAM blocks
can be used with this setting.

Advertising