Altera RAM-Based Shift Register User Manual

Page 5

Advertising
background image

Chapter 1: About this Megafunction

1–3

General Description

May 2013

Altera Corporation

RAM-Based Shift Register (ALTSHIFT_TAPS) Megafunction User Guide

f

For more information about setting the option for the distance between taps in the
MegaWizard Plug-in Manager, refer to

Chapter 2, Getting Started

. For information

about the TAP_DISTANCE parameter, refer to

Chapter 3, Specifications

.

Figure (a) in

Figure 1–1

shows a traditional 12-word-depth shift register. Figure (b)

shows how the data in the shift register chain are being tapped at even spaces (1st,
4th, 7th, and 10th) at the output taps of the ALTSHIFT_TAPS megafunction.

Figure 1–1. Tapping Data at Certain Points of the Shift Register Chain

(Note 1)

,

(2)

,

(3)

Notes for

Figure 1–1

(1) The ALTSHIFT_TAPS megafunction depicted here has TAP_DISTANCE = 3 and NUMBER_OF_TAPS = 4.

(2) The tapped data is output to taps[31..0]. Note that taps[31..0] is a 32-bit output because it taps four words at one time. The first word from

the MSB of the taps (taps[31..24]) represents the first data and is followed by the 4th data, 7th data, and 10th data.

(3) The shiftout[7..0] word is equivalent to taps[31..24].

Advertising