Altera RAM-Based Shift Register User Manual

Page 8

Advertising
background image

2–2

Chapter 2: Getting Started

MegaWizard Plug-In Manager Page Descriptions

RAM-Based Shift Register (ALTSHIFT_TAPS) Megafunction User Guide

May 2013

Altera Corporation

On page 2a of the MegaWizard Plug-In Manager, specify the megafunction, device
family to use, type of output file to create, and the name of the output file (

Figure 2–2

).

Choose AHDL (.tdf), VHDL (.vhd), or Verilog HDL (.v) as the output file type.

Figure 2–2. MegaWizard Plug-In Manager [page 2a]

Advertising