Getting started, Design flow, Chapter 2. getting started – Altera QDRII SRAM Controller MegaCore Function User Manual

Page 11: Design flow –1

Advertising
background image

Altera Corporation

MegaCore Version 9.1

2–1

November 2009

2. Getting Started

Design Flow

To evaluate the QDRII SRAM Controller using the OpenCore Plus
feature, include these steps in your design flow:

1.

Obtain and install the QDRII SRAM Controller.

The QDRII SRAM Controller is part of the MegaCore IP Library, which is
distributed with the Quartus II software and downloadable from the
Altera website,

www.altera.com

.

f

For system requirements and installation instructions, refer to

Altera

Software Installation and Licensing

.

Figure 2–1

shows the directory structure after you install the QDRII

SRAM Controller, where <path> is the installation directory. The default
installation directory on Windows is c:\altera\<version>; on Linux it is
/opt/altera

<version>.

Figure 2–1. Directory Structure

2.

Create a custom variation of the QDRII SRAM Controller MegaCore
function using IP Toolbench.

common
Contains shared components.

qdrii_sram_controller
Contains the QDRII SRAM Controller MegaCore function files and documentation.

doc
Contains the documentation for the QDRII SRAM Controller MegaCore function.

constraints
Contains scripts that generate an instance-specific Tcl script for each instance of
the QDRII SRAM Controller in various Altera devices.

dat
Contains a data file for each Altera device combination that is used by the
Tcl script to generate the instance-specific Tcl script.

lib
Contains encrypted lower-level design files and other support files.

altera
Contains the Altera MegaCore IP Library.

ip
Contains the Altera MegaCore IP Library and third-party IP cores.

<path>
Installation directory.

Advertising