Altera Avalon Verification IP Suite User Manual

Page 31

Advertising
background image

1–8

Chapter 1: Avalon-MM Master BFM

Parameters

Avalon Verification IP Suite User Guide

May 2011

Altera Corporation

Assert write high

On

On/Off

When On,

write

is asserted high.

Assert byteenable high

On

On/Off

When On,

byteenable

is asserted high.

Assert readdatavalid high

On

On/Off

When On,

readdatavalid

is asserted high.

Assert arbiterlock high

On

On/Off

When On,

arbiterlock

is asserted high.

Assert lock high

On

On/Off

When On,

lock

is asserted high.

Burst Attributes

Linewrap burst

On

On/Off

When On, the address for bursts wraps instead of an
incrementing. With a wrapping burst, when the address
reaches a burst boundary, it wraps back to the previous burst
boundary such that only the low order bits need to be used
for addressing.

Burst on burst boundaries only

On

On/Off

When On, memory bursts are aligned to the address size.

Miscellaneous

Maximum pending reads

1

The maximum number of pending reads that can be queued
by the slave.

Fixed read latency (cycles)

1

Sets the read latency for fixed-latency slaves. Not used on
interfaces that include the

readdatavalid

signal.

Timing

Fixed read wait time (cycles)

1

For master interfaces that do not use the

waitrequest

signal, the read wait time indicates the number of cycles
before the master responds to a read. The timing is as if the
master asserted

waitrequest

for this number of cycles.

Fixed write wait time (cycles)

0

For master interfaces that do not use the

waitrequest

signal, the write wait time indicates the number of cycles
before the master accepts a write.

Registered waitrequest

Off

On/Off

Specifies whether to turn on the register stage.

Registered Incoming Signals

Off

On/Off

Specifies whether to register incoming signals.

Interface Address Type

Set master interface address type
to symbols or words

WORDS

WORDS/
SYMBOLS

Sets slave interface address type to symbols or words.

API Streaming Interface

(Note 1)

Width of API interface data signal

64

The width of the data signal.

Width of API return interface data
signal

64

The width of the return interface data signal.

Note to

Table 1–3

:

(1) This interface is required only for the Avalon-MM Master BFM with Avalon-ST API Wrapper that is used in mixed language simulations.

Table 1–3. Parameters for the Avalon-MM Master BFM (Part 2 of 2)

Parameter

Default

Value

Legal

Values

Description

Advertising