Example – Altera Mentor Verification IP Altera Edition AMBA AXI4-Lite User Manual

Page 287

Advertising
background image

VHDL Monitor BFM

create_monitor_transaction()

Mentor Verification IP AE AXI4-Lite User Guide, V10.3

287

April 2014

Example

-- Create a monitor transaction
-- Returns the transaction ID (tr_id) for this created transaction.
create_monitor_transaction(tr_id, bfm_index,axi4_tr_if_3(bfm_index));

Operational
Transaction
Fields

gen_write_strobes

Correction of write strobes for invalid byte lanes:

0 = write_strobes passed through to protocol
signals.
1 = write_strobes auto-corrected for invalid byte
lanes (default).

operation_mode

Operation mode:

AXI4_TRANSACTION_NON_BLOCKING;
AXI4_TRANSACTION_BLOCKING (default);

write_data_mode

Write data mode:

AXI4_DATA_AFTER_ADDRESS (default);
AXI4_DATA_WITH_ADDRESS;

address_valid_delay

Address channel ARVALID/AWVALID delay measured
in ACLK cycles for this transaction. Default: 0.

data_valid_delay

Data channel RVALID/WVALID delay measured in
ACLK cycles for this transaction. Default: 0.

write_response_valid_delay

Write response channel BVALID delay measured in
ACLK cycles for this transaction. Default: 0).

address_ready_delay

Address channel ARREADY/AWREADY delay
measured in ACLK cycles for this transaction. Default:
0.

data_ready_delay

Data channel RREADY/WREADY delay measured in
ACLK cycles for this transaction. Default: 0.

write_response_ready_delay Write data channel BREADY delay measured in ACLK

cycles for this transaction. Default: 0.

transaction_done

Transaction done flag for this transaction

Returns

transaction_id

Transaction identifier. Refer to

Overloaded Procedure

Common Arguments

” on page 151.

Advertising