Appendix i: gpio port, Programming the gpio port, Reading the gpio data – Fairbanks FB3000 Kernel Program Operators Manual User Manual

Page 45: Writing the gpio data

Advertising
background image

04/12

45

51146 Rev. 3

Appendix I: GPIO Port

Programming the GPIO Port

The FB3000 embedded PCB provides an 8-bit GPI port and an 8-bit GPO port
through which to read and write data. The GPIO port base address is 440 (hex).

Reading the GPIO Data

MOV DX, 440:

GPIO Address

IN AL, DX:

Read the data into AL register

Writing the GPIO Data

MOV DX, 440: GPIO Address

MOV AL, XXH: Output data value “XX” OUT DX, AL

bit0 =

GPI(O)0

bit1 =

GPI(O)1

bit2 =

GPI(O)2

bit3 =

GPI(O)3

bit4 =

GPI(O)4

bit5 =

GPI(O)5

bit6 =

GPI(O)6

bit7 =

GPI(O)7

Advertising