Xilinx V2.1 User Manual

Page 11

Advertising
background image

The System Generator Design Flow

11

Introduction

The System Generator design flow is shown in the following figure.

Figure 1-1: System Generator design flow diagram

The Xilinx Blockset is accessible in the Simulink library browser, and elements can be
freely combined with other Simulink elements. Only those subsystems denoted as
Xilinx black boxes, and blocks and subsystems consisting of blocks from the Xilinx
Blockset are translated by System Generator into a hardware realization. The
generation process is controlled from the System Generator block found in the Xilinx
Blockset Basic Elements library. The System Generator parameterization GUI allows
the user to choose the target FPGA device, target system clock period, and other
implementation options.

System Generator translates the Simulink model into a hardware realization by
mapping Xilinx Blockset elements into IP library modules, inferring control signals
and circuitry from system parameters (e.g. sample periods), and converting the

Library

Simulation

Synthesis

MATLAB Environment

Simulink

Z

1

k

System Model

Output

Input

Synthesis

Compiler

CORE

Generator

FPGA

Place & Route

Logic

Simulator

Bit stream

Pass/Fail

Simulation
Data

EDIF + Timing

EDIF

Xilinx

DesignTools

Environment

Test
Vectors

VHDL

Core
Parameters

ENTITY mult IS
GENERIC(w:
PORT(a,b:IN
PORT(y:OUT
END ENTITY
...

+

including
S-functions

(including
Xilinx
Blockset
)

System Generator
Code Generation Software

- map to IP libraries
- control signals
- VHDL design
- HDL testbench
- constraints
- simulation scripts, project files

Advertising