Xilinx V2.1 User Manual

Page 53

Advertising
background image

Communication

53

Xilinx Blocks

Block Parameters Dialog Box

The following figure shows the block parameters dialog box.

Figure 3-31: Convolutional encoder block parameters dialog box

Parameters specific to the block are:

Output Rate

: 2 or 3. Number of output bits generated per input bit. A rate 1/2

encoder will have an output rate of 2.

Convolution Code 1

: Used to generate least significant bit of the output.

Length of convolution code must be between 3 and 9 (inclusive).

Convolution Code 2

: Used to generate bit 2 of the output. Length of

convolution code must be between 3 and 9 (inclusive).

Convolution Code 3

: Used to generate bit 3 of the output. Length of

convolution code must be between 3 and 9 (inclusive).

Other parameters used by this block are described in the Common Parameters section
of the previous chapter.

The Convolutional Encoder block cannot be placed in an enabled subsystem in
System Generator v2.1. See the Enabled Subsystems section (within the MATLAB I/O
library documentation) explanation for more details.

Xilinx LogiCORE

The block always uses the Xilinx LogiCORE: Convolutional Encoder v1.0.

The Core datasheet can be found on your local disk at:

%XILINX%\coregen\ip\xilinx\eip1\com\xilinx\ip\convolution_v1_0

\doc\convolution.pdf

Advertising