Mult – Xilinx V2.1 User Manual

Page 88

Advertising
background image

88

Xilinx Development System

Xilinx System Generator v2.1 Reference Guide

Mult

The Xilinx Mult block implements a multiplier. It computes the
product of the data on its two input ports, producing the result on its
output port. The block supports a size-performance tradeoff in its
implementation. It can be implemented either as a parallel multiplier
that operates on the full width data (faster and larger), or as a
sequential multiplier that computes the result from smaller partial
products (slower and smaller). Note that this choice affects the

hardware implementation only. The simulation behavior of the block is not affected.

Block Parameters Dialog Box

The block parameters dialog box can be invoked by double-clicking the icon in your
Simulink model.

Figure 3-59: Mult block parameters dialog box - parallel type

Advertising