Altera SDC and TimeQuest API User Manual

Page 12

Advertising
background image

2–2

Chapter 2: SDC and TimeQuest API Package and Commands

sdc

SDC and TimeQuest API Reference Manual

© December 2009

Altera Corporation

Command

Page

all_clocks ................................................................................................................................................... 2–3
all_inputs................................................................................................................................................... 2–4
all_outputs ................................................................................................................................................ 2–5
all_registers ............................................................................................................................................... 2–6
create_clock............................................................................................................................................... 2–7
create_generated_clock ........................................................................................................................... 2–8
derive_clocks .......................................................................................................................................... 2–10
get_cells ................................................................................................................................................... 2–11
get_clocks ................................................................................................................................................ 2–13
get_nets.................................................................................................................................................... 2–14
get_pins ................................................................................................................................................... 2–15
get_ports.................................................................................................................................................. 2–17
remove_clock_groups ........................................................................................................................... 2–18
remove_clock_latency ........................................................................................................................... 2–19
remove_clock_uncertainty.................................................................................................................... 2–20
remove_disable_timing......................................................................................................................... 2–21
remove_input_delay.............................................................................................................................. 2–22
remove_output_delay ........................................................................................................................... 2–23
reset_design ............................................................................................................................................ 2–24
set_clock_groups .................................................................................................................................... 2–25
set_clock_latency.................................................................................................................................... 2–26
set_clock_uncertainty ............................................................................................................................ 2–28
set_disable_timing ................................................................................................................................. 2–29
set_false_path ......................................................................................................................................... 2–30
set_input_delay ...................................................................................................................................... 2–32
set_input_transition............................................................................................................................... 2–34
set_max_delay ........................................................................................................................................ 2–35
set_min_delay......................................................................................................................................... 2–37
set_multicycle_path ............................................................................................................................... 2–39
set_output_delay.................................................................................................................................... 2–41

Advertising