Remove_output_delay, Remove_output_delay –23 – Altera SDC and TimeQuest API User Manual

Page 33

Advertising
background image

Chapter 2: SDC and TimeQuest API Package and Commands

2–23

sdc

© December 2009

Altera Corporation

SDC and TimeQuest API Reference Manual

remove_output_delay

Usage

remove_output_delay <targets>

Options

<targets>: Collection or list of output ports

Description

Removes output delay from a port. For each output port specified, removes all output delays for that port.
Rise, fall, max, and min delays for each clock and reference pin on the output port are all removed.

The value of the targets is either a collection or a Tcl list of wildcards used to create a collection of the
appropriate type. The values used must follow standard Tcl or TimeQuest-extension substitution rules.
See help for the use_timequest_style_escaping command for details.

Example

# Simple output delay with the same value for min/max and rise/fall
set_output_delay -clock clk 1.5 [get_ports {out1 out2}]
set_output_delay -clock clk2 1.5 [get_ports {out1 out2}]
set_output_delay -clock clk 1.6 [get_ports {out3 out4}]

# Remove input delay on ports out1 and out4,
# for all flags and reference ports and flags
remove_output_delay [get_ports {out1 out4}]

Advertising