All_inputs, All_inputs –4 – Altera SDC and TimeQuest API User Manual

Page 14

Advertising
background image

2–4

Chapter 2: SDC and TimeQuest API Package and Commands

sdc

SDC and TimeQuest API Reference Manual

© December 2009

Altera Corporation

all_inputs

Usage

all_inputs

Options

None

Description

Returns a collection of all input ports in the design.

Example

project_open chiptrip
create_timing_netlist
foreach_in_collection in [all_inputs] {
puts [get_port_info -name $in]
}
set_input_delay -clock clock1 2.0 [all_inputs]
delete_timing_netlist
project_close

Advertising