Reset_timing_derate, Reset_timing_derate –55 – Altera SDC and TimeQuest API User Manual

Page 65

Advertising
background image

Chapter 2: SDC and TimeQuest API Package and Commands

2–55

sdc_ext

© December 2009

Altera Corporation

SDC and TimeQuest API Reference Manual

reset_timing_derate

Usage

reset_timing_derate

Options

None

Description

Resets all derate factors set on the design.

Example

# set timing derate
set_timing_derate -late 0.2 [get_cells *]
update_timing_netlist

# reset all derate factors
reset_timing_derate
update_timing_netlist

Advertising