Sdc_ext, Sdc_ext –43 – Altera SDC and TimeQuest API User Manual

Page 53

Advertising
background image

Chapter 2: SDC and TimeQuest API Package and Commands

2–43

sdc_ext

© December 2009

Altera Corporation

SDC and TimeQuest API Reference Manual

sdc_ext

Timing Constraints not defined in the SDC Spec Version 1.5 are implemented in this package. Any
command in this package can be specified in a TimeQuest SDC file.

This package is loaded by default in the following executable:

quartus_sta

This package is available for loading in the following executable:

quartus_map

This package includes the following commands:

Command

Page

derive_clock_uncertainty...................................................................................................................... 2–44
derive_pll_clocks.................................................................................................................................... 2–45
get_assignment_groups ........................................................................................................................ 2–46
get_fanins ................................................................................................................................................ 2–47
get_fanouts.............................................................................................................................................. 2–48
get_keepers ............................................................................................................................................. 2–49
get_nodes ................................................................................................................................................ 2–50
get_partitions .......................................................................................................................................... 2–51
get_registers ............................................................................................................................................ 2–52
remove_annotated_delay...................................................................................................................... 2–53
remove_clock .......................................................................................................................................... 2–54
reset_timing_derate ............................................................................................................................... 2–55
set_active_clocks .................................................................................................................................... 2–56
set_annotated_delay .............................................................................................................................. 2–57
set_max_skew......................................................................................................................................... 2–58
set_net_delay .......................................................................................................................................... 2–60
set_scc_mode .......................................................................................................................................... 2–61
set_time_format...................................................................................................................................... 2–62
set_timing_derate................................................................................................................................... 2–63

Advertising