Get_clocks, Get_clocks –13 – Altera SDC and TimeQuest API User Manual

Page 23

Advertising
background image

Chapter 2: SDC and TimeQuest API Package and Commands

2–13

sdc

© December 2009

Altera Corporation

SDC and TimeQuest API Reference Manual

get_clocks

Usage

get_clocks [-nocase] [-nowarn] <filter>

Options

-nocase: Specifies the matching of node names to be case-insensitive

-nowarn: Do not issue warnings messages about unmatched patterns

<filter>: Valid destinations (string patterns are matched using Tcl string matching)

Description

Returns a collection of clocks in the design. When used as an argument to another command, such as the
-from or -to options of set_multicycle_path, each node in the clock represents all nodes driven by the
clocks in the collection.

# The following multicycle constraint applies to all paths ending at registers
# driven by clk
set_multicycle_path -to [get_clocks clk] 2

The filter for the collection is a Tcl list of wildcards, and must follow standard Tcl or TimeQuest-extension
substitution rules. See the help for use_timequest_style_escaping for details.

Example

project_open chiptrip
create_timing_netlist
read_sdc
update_timing_netlist
set clocks [get_clocks c* -nocase]
foreach_in_collection clk $clocks {
set name [get_clock_info -name $clk]
set period [get_clock_info -period $clk]
puts "$name: $period"
}
delete_timing_netlist
project_close

Advertising