Set_clock_latency, Set_clock_latency –26 – Altera SDC and TimeQuest API User Manual

Page 36

Advertising
background image

2–26

Chapter 2: SDC and TimeQuest API Package and Commands

sdc

SDC and TimeQuest API Reference Manual

© December 2009

Altera Corporation

set_clock_latency

Usage

set_clock_latency [-clock <clock_list>] [-early] [-fall] [-late] [-rise] -source
<delay> <targets>

Options

-clock <clock_list>: Valid clock destinations (string patterns are matched using Tcl
string matching)

-early: Specifies the early clock latency

-fall: Specifies the falling transition clock latency

-late: Specifies the late clock latency

-rise: Specifies the rising transition clock latency

-source: Specifies the source clock latency

<delay>: Latency delay value

<targets>: Valid destinations (string patterns are matched using Tcl string matching)

Description

Specifies clock latency for a given clock or clock target.

There are two types of latency: network and source. Network latency is the clock network delay between
the clock and register clock pins. Source latency is the clock network delay between the clock and its
source (e.g., the system clock or base clock of a generated clock).

The TimeQuest Timing Analyzer automatically computes network latencies for all register and generated
clocks. Overriding clock network latencies is not supported by the TimeQuest analyzer. Therefore, the
-source option must always be specified.

You can apply clock latency to a clock, which affects all targets of the clock, or to a specific clock target. If
you specify a specific clock target that is driven by more than one clock, use the -clock option to specify
which clock to use. Latencies assigned to a clock target override any latencies assigned to a clock.

Different clock latencies can be specified for early (-early) and late (-late) latencies, as well as for rising
edges (-rise) and falling edges (-fall). If only some combinations are specified, the other combinations are
used by default. For example, if only a -rise -early latency and a -fall -early latency are specified, then the
-rise -late latency is assumed to be the same as the -rise -early latency and the -fall -late latency is assumed
to be the same as the -fall -early latency. If neither -rise nor -fall are used or neither -early nor -fall are used,
then the latency applies to both conditions.

Source latency can also be assigned to generated clocks. This may be useful for specifying board level
delays from a clock output port to a clock input port when the clock input port is acting as a feedback
clock.

The value of the targets is either a collection or a Tcl list of wildcards used to create a collection of the
appropriate type. The values used must follow standard Tcl or TimeQuest-extension substitution rules.
See help for the use_timequest_style_escaping command for details.

Example

create_clock -name SYSCLK -period 10.000 [get_ports inclk]
create_generated_clock -name OUTCLK -divide_by 1 -source [get_ports \
inclk] [get_ports outclk]
create_generated_clock -name FDBKCLK -divide_by 1 -source \
[get_ports outclk] [get_ports fdbkclk]

Advertising