All_outputs, All_outputs –5 – Altera SDC and TimeQuest API User Manual

Page 15

Advertising
background image

Chapter 2: SDC and TimeQuest API Package and Commands

2–5

sdc

© December 2009

Altera Corporation

SDC and TimeQuest API Reference Manual

all_outputs

Usage

all_outputs

Options

None

Description

Returns a collection of all output ports in the design.

Example

project_open chiptrip
create_timing_netlist
foreach_in_collection out [all_outputs] {
puts [get_port_info -name $out]
}
set_output_delay -clock clock1 2.0 [all_outputs]
delete_timing_netlist
project_close

Advertising