Altera HardCopy II Clock Uncertainty Calculator User Manual

Page 39

Advertising
background image

Altera Corporation

A–7

HardCopy II Clock Uncertainty Calculator User Guide

Figure A–7

shows an example of a clock-pair = CLK3 to CLK9

Figure A–7. Inter-Clock Domain with Two Independent Clocks and a PLL on the Destination Clock

Table A–7

shows input of the PLL index for

Figure A–7

, with respect to

the source and destination clocks.

INBUF9

INBUF11

CLK3

CLK9

Source

Clock

Destination

Clock

Source
Register

Destination
Register

PLL4

Table A–7. Location of Input PLLs

Source Clock

Destination Clock

1st PLL

2nd PLL

1st PLL

2nd PLL

0

4

Advertising