Altera SDI II MegaCore User Manual

Page 72

Advertising
background image

Signal

Width Direction

Description

tx_trs_b

1

Input

Transmitter TRS input for link B. For use in HD-SDI dual link

mode LN, CRC, or payload ID insertion. Assert this signal on

first word of both EAV and SAV TRSs.

tx_dataout

20S

Output Transmitter parallel data out.

• Arria V, Cyclone V, and Stratix V devices: Available for

transmitter protocol configuration only.

• Arria 10 devices: Available whenever TX core is included.

tx_dataout_valid

1

Output Data valid generated by the core. This signal can be used to drive

tx_datain_valid

. The timing (H: High, L: Low) must be

synchronous to

tx_pclk

clock domain and have the following

settings:
• SD-SDI = 1H 4L 1H 5L

• HD-SDI = H

• 3G-SDI = H

• HD-SDI Dual Link = H

• Dual standard = SD (1H 4L 1H 5L); HD (1H 1L)

• Triple standard = SD (1H 4L 1H 5L); HD (1H 1L); 3G (H)

• Multi standard (up to 12G) = SD (1H 4L 1H 5L); HD (1H 1L);

3G/6G/12G (H)

tx_dataout_b

20

Output Transmitter parallel data out for link B. Applicable for HD-SDI

dual link transmitter protocol configuration only.

tx_dataout_

valid_b

1

Output Data valid generated by the core for link B. Applicable for HD-

SDI dual link mode only. The timing (H: High, L: Low) is

identical to the

tx_dataout_valid

signal and is synchronous to

tx_pclk

clock domain.

tx_std_out

3

Output Indicates the transmitted video standard. Applicable for 3G-SDI,

dual standard, and triple standard modes only.

tx_vpid_

overwrite

1

Input

When a payload ID is embedded in the video stream, the core

enables this signal to overwrite the existing payload ID. No effect

when disabled.
Applicable only when you enable the Insert Payload ID (SMPTE

352M) option.

tx_vpid_byte1

8S

Input

The core inserts payload ID byte 1.
Applicable only when you enable the Insert Payload ID (SMPTE

352M) option.

tx_vpid_byte2

8S

Input

The core inserts payload ID byte 2.
Applicable only when you enable the Insert Payload ID (SMPTE

352M) option.

4-30

SDI II IP Core Signals

UG-01125

2015.05.04

Altera Corporation

SDI II IP Core Functional Description

Send Feedback

Advertising