Logicore ip tri-mode ethernet mac (soft core), Tri-mode ethernet mac core generation – Xilinx IP Ethernet AVB Endpoint v2.4 UG492 User Manual

Page 112

Advertising
background image

112

www.xilinx.com

Ethernet AVB Endpoint User Guide

UG492 September 21, 2010

Chapter 12: System Integration

LogiCORE IP Tri-Mode Ethernet MAC (Soft Core)

Tri-Mode Ethernet MAC Core Generation

When generating the Tri-Mode Ethernet MAC (TEMAC) core in the CORE Generator
software, be sure that the following options are selected:

Management Interface

. Enabled

Clock Enables

. Enabled

Address Filter

. Disabled

See the Tri-Mode Ethernet MAC User Guide (UG138) for additional information.

Advertising