Compiler assignments, Allow_register_duplication – Altera Quartus II Settings File User Manual

Page 276

Advertising
background image

Compiler Assignments

ALLOW_REGISTER_DUPLICATION

Controls whether the Compiler is allowed to duplicate registers to improve design performance. When

register duplication is allowed, the Compiler may perform optimizations that create a second copy of a

register and move a portion of its fan-out to this new node, in order to improve routability and/or reduce

the total routing wire required to route a net with many fan-outs.

Type

Boolean

Device Support

This setting can be used in projects targeting any Altera device family.

Notes

This assignment is included in the Fitter report.
This assignment is included in the Analysis & Synthesis report.

Syntax

set_global_assignment -name ALLOW_REGISTER_DUPLICATION <value>

Default Value

On

Example

set_global_assignment -name allow_register_duplication on

276

Compiler Assignments

MNL-Q21005

2015.05.04

Altera Corporation

Quartus Settings File Reference Manual

Send Feedback

Advertising