Heading2 - bmacc56 vhdl instantiation template, Bmacc56 vhdl instantiation template – Achronix Speedster22i User Macro Guide User Manual

Page 198

Advertising
background image

Multipliers

BMACC56

Speedster22i Macro Cell Library

Achronix Semiconductor Proprietary

PAGE 181

.ce_mask_adda(user_ce_mask_adda),

.ce_dout(user_ce_dout),

.rst_a(user_rst_a),

.rst_b(user_rst_b),

.rst_sub(user_rst_sub),

.rst_cin(user_rst_cin),

.rst_mask_adda(user_rst_mask_adda),

.rst_dout(user_rst_dout),

.cascade_in(user_cascade_in),

.clk(user_clk),

.dout(user_dout),

.cout(user_cout),

.cascade_out(user_cascade_out));

BMACC56 VHDL Instantiation Template

------------- ACHRONIX LIBRARY ------------
library speedster22i;
use speedster22i.components.all;
------------- DONE ACHRONIX LIBRARY ---------

-- Component Instantiation
BMACC56_instance_name : BMACC56
generic map (init_a => X”0000000”;
init_b => X”0000000”;
init_sub => ‘0’;
init_cin => ‘0’;
init_mask_adda => ‘0’;
init_dout => X”00000000000000”;
init_cout => ‘0’;
rst_value_a => X”0000000”;
rst_value_b => X”0000000”;
rst_value_sub => ‘0’;
rst_value_cin => ‘0’;
rst_value_mask_adda => ‘0’;
rst_value_dout => X”00000000000000”;
rst_value_cout => ‘0’;
regce_priority_a => “regce”;
regce_priority_b => “regce”;
regce_priority_sub => “regce”;
regce_priority_cin => “regce”;
regce_priority_mask_adda => “regce”;
regce_priority_dout => “regce”;
reg_a => ‘0’;
reg_b => ‘0’;

Advertising