Altera Integer Arithmetic IP User Manual

Page 29

Advertising
background image

The following table lists the parameters for the LPM_DIVIDE megafunction.

Parameter Name

Type

Required

Description

LPM_WIDTHN

Integer

Yes

Specifies the widths of the

numer[]

and

quotient[]

ports. Values are

1

to

64

.

LPM_WIDTHD

Integer

Yes

Specifies the widths of the

denom[]

and

remain[]

ports. Values are

1

to

64

.

LPM_NREPRESENTATION

String

No

Sign representation of the numerator

input. Values are

SIGNED

and

UNSIGNED

. When this parameter is set

to

SIGNED

, the divider interprets the

numer[]

input as signed two's

complement.

LPM_DREPRESENTATION

String

No

Sign representation of the

denominator input. Values are

SIGNED

and

UNSIGNED

. When this parameter is

set to

SIGNED

, the divider interprets

the

denom[]

input as signed two's

complement.

LPM_TYPE

String

No

Identifies the library of parameterized

modules (LPM) entity name in VHDL

design files (.vhd).

LPM_HINT

String

No

When you instantiate a library of

parameterized modules (LPM)

function in a VHDL Design File (.vhd)

, you must use the

LPM_HINT

parameter to specify an Altera-specific

parameter. For example:

LPM_HINT =

"CHAIN_SIZE = 8, ONE_INPUT_IS_

CONSTANT = YES"

The default value is

UNUSED

.

3-4

Parameters

UG-01063

2014.12.19

Altera Corporation

LPM_DIVIDE (Divider)

Send Feedback

Advertising