Altera_mult_add parameters, Altera_mult_add parameters -12 – Altera Integer Arithmetic IP User Manual

Page 65

Advertising
background image

Table 6-2: ALTERA_MULT_ADD MegaFunction Output Ports

Port Name

Required

Description

result []

Yes

Multiplier output port. Output port

[WIDTH_RESULT - 1 … 0]

wide

scanouta []

No

Output of scan chain A. Output port

[WIDTH_A - 1..0]

wide.

ALTERA_MULT_ADD Parameters

The following table lists the parameters for the ALTERA_MULT_ADD megafunction.

Table 6-3: ALTMULT_ADD Megafunction Parameters

Parameter Name

Type

Required

Description

NUMBER_OF_MULTIPLIERS

Integer Yes

Number of multipliers to be added together.

Values are

1

up to

4

.

WIDTH_A

Integer Yes

Width of the

dataa[]

port.

WIDTH_B

Yes

Width of the

datab[]

port.

WIDTH_RESULT

Integer Yes

Width of the

result[]

port.

INPUT_REGISTER_A[0…3]

String No

Specifies the clock port for the

dataa[]

operand of the multiplier. Values are

UNREGISTERED

,

CLOCK0

,

CLOCK1

, and

CLOCK2

. If omitted, the default value is

UNREGISTERED

.

INPUT_REGISTER_A[1 … 3]

must have similar values with

INPUT_

REGISTER_A0

.

INPUT_REGISTER_B[0…3]

String No

Specifies the clock port for the

datab[]

operand of the multiplier. Values are

UNREGISTERED

,

CLOCK0

,

CLOCK1

, and

CLOCK2

. If omitted, the default value is

UNREGISTERED

.

INPUT_REGISTER_B[1 … 3]

must have similar values with

INPUT_

REGISTER_B0

.

INPUT_ACLR_A[0…3]

String No

Specifies the asynchronous clear for the

dataa[]

operand of the multiplier. Values

are

NONE

,

ACLR0

,

ACLR1

. If omitted, the

default value is

NONE

. The

INPUT_ACLR_A[1

… 3]

value must be set similar to the value of

INPUT_ACLR_A0

.

INPUT_ACLR_B[0…3]

String No

Specifies the asynchronous clear for the

datab[]

operand of the multiplier. Values

are

NONE

,

ACLR0

,

ACLR1

. If omitted, the

default value is

NONE

. The

INPUT_ACLR_B [1

… 3]

value must be set similar to the value of

INPUT_ACLR_B0

.

6-12

ALTERA_MULT_ADD Parameters

UG-01063

2014.12.19

Altera Corporation

ALTERA_MULT_ADD (Multiply-Adder)

Send Feedback

Advertising