Design example: 8 × 8 multiplier, Design example: 8 × 8 multiplier -5 – Altera Integer Arithmetic IP User Manual

Page 78

Advertising
background image

Parameter Name

Type

Required

Description

DATA_REPRESENTATION

String

No

Specifies whether the

coeff_in[]

input port and the pre-loaded

coefficients are signed or unsigned.

COEFF_REPRESENTATION

String

No

Specifies whether the

coeff_in[]

input port and the pre-loaded

coefficients are signed or unsigned.

INTENDED_DEVICE_FAMILY

String

No

This parameter is used for modeling

and behavioral simulation purposes.

Create the ALTMEMMULT

megafunction with the MegaWizard

Plug-In Manager to calculate the

value for this parameter.

LPM_HINT

String

No

When you instantiate a library of

parameterized modules (LPM)

function in a VHDL Design File

(.vhd), you must use the

LPM_HINT

parameter to specify an Altera-

specific parameter. For example:

LPM_

HINT = "CHAIN_SIZE = 8, ONE_

INPUT_IS_CONSTANT = YES"

The default value is

UNUSED

.

LPM_TYPE

String

No

Identifies the library of parameterized

modules (LPM) entity name in

VHDL design files.

MAX_CLOCK_CYCLES_PER_RESULT

Integer No

Specifies the number of clock cycles

per result.

NUMBER_OF_COEFFICIENTS

Integer No

Specifies the number of coefficients

that are stored in the lookup table.

RAM_BLOCK_TYPE

String

No

Specifies the ram block type. Values

are

AUTO

,

SMALL

,

MEDIUM

,

M512

, and

M4K

. If omitted, the default value is

AUTO

.

Design Example: 8 × 8 Multiplier

This design example uses the ALTMEMMULT megafunction to generate a basic multiplier using RAM

blocks to determine the 16-bit product of two unsigned 8-bit numbers. This example uses the

MegaWizard Plug-In Manager in the Quartus II software.
The following design files can be found in

altmemmult_DesignExample.zip

:

memmult_ex.qar (archived Quartus II design files)
altmemmult_ex_msim (ModelSim-Altera files)

UG-01063

2014.12.19

Design Example: 8 × 8 Multiplier

7-5

ALTMEMMULT (Memory-based Constant Coefficient Multiplier)

Altera Corporation

Send Feedback

Advertising