Parameters (altecc_encoder), Parameters (altecc_decoder), Parameters (altecc_encoder) -8 – Altera Integer Arithmetic IP User Manual

Page 46: Parameters (altecc_decoder) -8

Advertising
background image

Port Name

Required

Description

clock

Yes

Clock input port that provides the clock signal to synchronize the

encoding operation. The clock port is required when the

LPM_PIPELINE

value is greater than

0

.

clocken

No

Clock enable. If omitted, the default value is

1

.

aclr

No

Asynchronous clear input. The active high

aclr

signal can be used at any

time to asynchronously clear the registers.

Table 5-6: ALTECC_DECODER Megafunction Output Ports

Port Name

Required

Description

q[]

Yes

Decoded data output port. The size of the output port depends on the

WIDTH_DATAWORD

parameter value.

err_detected

Yes

Flag signal to reflect the status of data received and specifies any errors

found.

err_corrected

Yes

Flag signal to reflect the status of data received. Denotes single-bit error

found and corrected. You can use the data because it has already been

corrected.

err_fatal

Yes

Flag signal to reflect the status of data received. Denotes double-bit error

found, but not corrected. You must not use the data if this signal is

asserted.

syn_e

No

An output signal which will go high whenever a single-bit error is

detected on the parity bits.

Parameters (ALTECC_ENCODER)

The following table lists the parameters for the ALTECC_ENCODER megafunction.

Table 5-7: ALTECC_ENCODER Megafunction Parameters

Parameter Name

Type

Required

Description

WIDTH_DATAWORD

Integer

Yes

Specifies the width of the raw data. Values are from

2

to

64

. If omitted, the default value is

8

.

WIDTH_CODEWORD

Integer

Yes

Specifies the width of the corresponding code word.

Valid values are from

6

to

72

, excluding

9

,

17

,

33

, and

65

.

If omitted, the default value is

13

.

LPM_PIPELINE

Integer

No

Specifies the pipeline for the circuit. Values are from

0

to

2

. If the value is

0

, the ports are not registered. If the

value is

1

, the output ports are registered. If the value is

2

,

the input and output ports are registered. If omitted, the

default value is

0

.

Parameters (ALTECC_DECODER)

5-8

Parameters (ALTECC_ENCODER)

UG-01063

2014.12.19

Altera Corporation

ALTECC (Error Correction Code: Encoder/Decoder)

Send Feedback

Advertising