BECKHOFF EtherCAT IP Core for Altera FPGAs v3.0.10 User Manual

Page 124

Advertising
background image

PDI Description

III-112

Slave Controller

– IP Core for Altera FPGAs

BHE1

CS

BHE

WR

RD

DATA

BUSY

ADR1

ADR

t

WR_active

t

CS_delay

t

WR_delay

t

ADR_BHE_DATA_hold

DATA1

t

ADR_BHE_DATA_setup

t

CS_to_BUSY

t

WR_to_BUSY

t

CS_to_BUSY

t

CS_to_BUSY

t

BUSY_to_WR_CS

Internal

state

Writing ADR1

Idle

Idle

t

CS_WR_overlap

t

write_int

Writing ADR2

BHE2

ADR2

DATA2

t

BUSY_to_WR_CS

t

CS_WR_overlap

Idle

t

write_int

Figure 52: Write access (write after falling edge nWR)

Advertising