Quartus_stp, Usage, Quartus_stp –83 – Altera Quartus II Scripting User Manual

Page 109: Usage –83

Advertising
background image

Chapter 2: Command-line Executables

2–83

quartus_stp

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

quartus_stp

The Quartus II SignalTap II Logic Analyzer captures signals from internal device nodes while the device is
running at speed. The captured data is displayed as a waveform within the SignalTap II Logic Analyzer
and can be saved as a SignalTap II File (.stp). A comprehensive trigger condition can be specified, and is
also saved in a SignalTap II File (.stp). The quartus_stp executable creates a Quartus Setting File (.qsf)
based on the SignalTap II File specified if enabled. It also removes the settings if the SignalTap II Logic
Analyzer is disabled. It must be run successfully before running Quartus II Analysis & Synthesis.

This command also supports Quartus Setting File (.qsf) setup for Logic Analyzer Interface. A Logic
Analyzer Interface (.lai) file is used to determine how Quartus Setting File should be changed.

This command also loads Tcl packages for a number of tools that communicate with the device via the
JTAG interface. The ::quartus::stp package provides commands for SignalTap II acquisition; the
::quartus::jtag package provides commands for primitive JTAG shift and virtual JTAG shift; the
::quartus::insystem_memory_edit package provides commands that read and modify memory content
when this feature is enabled; the ::quartus::logic_analyzer_interface package provides commands that
control the drivers of output pins interfacing with the logic analyzer.

Usage

quartus_stp [-h | --help[=<option|topic>] | -v]

quartus_stp <project name> [<options>]

quartus_stp -t <script file> [<script args>]

quartus_stp -s

quartus_stp --tcl_eval <tcl command>

This command supports the following options:

Advertising