Altera Quartus II Scripting User Manual

Page 380

Advertising
background image

3–250

Chapter 3: Tcl Packages & Commands

report

Quartus II Scripting Reference Manual

© July 2013

Altera Corporation

unload_report
project_close

Advertising