Altera Quartus II Scripting User Manual

Page 279

Advertising
background image

Chapter 3: Tcl Packages & Commands

3–149

jtag

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

# Close device
close_device

Advertising