Altera Quartus II Scripting User Manual

Page 29

Advertising
background image

Chapter 2: Command-line Executables

2–3

quartus_cdb

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

Option

Page

-c=<revision name> ............................................................................................................................... 2–96
-f=<argument file>................................................................................................................................. 2–92
-h............................................................................................................................................................... 2–92
-s ............................................................................................................................................................. 2–102
-t=<script file> ...................................................................................................................................... 2–102
-v ............................................................................................................................................................... 2–92
--64bit ....................................................................................................................................................... 2–92
--back_annotate=<demotion type> ....................................................................................................... 2–4
--bottom_up_scripts_output_directory[=<value>]............................................................................. 2–4
--bottom_up_scripts_virtual_input_pin_delay[=<value>]................................................................ 2–5
--bottom_up_scripts_virtual_output_pin_delay[=<value>] ............................................................. 2–5
--create_companion[=<companion revision>] .................................................................................... 2–5
--disable_auto_global_promotion_in_bottom_up_scripts[=on|off] ................................................ 2–6
--export_database=<directory> ............................................................................................................. 2–6
--generate_bottom_up_scripts[=on|off]............................................................................................... 2–6
--generate_hc_files ................................................................................................................................... 2–7
--generate_hc_pll_delay .......................................................................................................................... 2–7
--hc_archive[=<output file>] .................................................................................................................. 2–8
--hc_min_archive...................................................................................................................................... 2–8
--hc_ready.................................................................................................................................................. 2–8
--hc_review................................................................................................................................................ 2–9
--help[=<option|topic>] ....................................................................................................................... 2–93
--import_database=<directory>............................................................................................................. 2–9
--include_all_logiclock_regions_in_bottom_up_scripts[=on|off].................................................. 2–10
--include_design_partitions_in_bottom_up_scripts[=on|off] ........................................................ 2–10
--include_global_signal_promotion_in_bottom_up_scripts[=on|off]........................................... 2–10
--include_logiclock_regions_in_bottom_up_scripts[=on|off] ........................................................ 2–10
--include_makefiles_with_bottom_up_scripts[=on|off].................................................................. 2–10
--include_pin_locations_in_bottom_up_scripts[=on|off] ............................................................... 2–11
--include_project_creation_in_bottom_up_scripts[=on|off]........................................................... 2–11
--include_timing_assignments_in_bottom_up_scripts[=on|off] ................................................... 2–11
--include_virtual_input_pin_timing_in_bottom_up_scripts[=on|off] .......................................... 2–11
--include_virtual_output_pin_timing_in_bottom_up_scripts[=on|off]........................................ 2–12
--include_virtual_pin_locations_in_bottom_up_scripts[=on|off].................................................. 2–12
--include_virtual_pins_in_bottom_up_scripts[=on|off].................................................................. 2–12
--incremental_compilation_export[=<.qxp file>] .............................................................................. 2–12
--incremental_compilation_export_netlist_type=<POST_SYNTH|POST_FIT>.......................... 2–13
--incremental_compilation_export_partition_name[=<name>] ..................................................... 2–13
--incremental_compilation_export_routing[=on|off] ...................................................................... 2–13
--incremental_compilation_import[=on|off]..................................................................................... 2–13
--lower_priority ...................................................................................................................................... 2–93
--merge[=on|off].................................................................................................................................... 2–13
--mif_dependency=<mif_check>......................................................................................................... 2–13
--netlist_type=<map|cmp|asm> ........................................................................................................ 2–14
--override_partition_netlist_type=<value>........................................................................................ 2–14
--post_map[=on|off] ............................................................................................................................. 2–14
--read_settings_files[=on|off] .............................................................................................................. 2–98
--remove_existing_regions_in_bottom_up_scripts[=on|off] .......................................................... 2–14
--rev=<revision name>.......................................................................................................................... 2–96
--script=<script file> ............................................................................................................................ 2–102

Advertising