Altera Quartus II Scripting User Manual

Page 127

Advertising
background image

Chapter 2: Command-line Executables

2–101

Settings File Options

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

The following example assumes that a project named fir_filter exists, and that the Analysis & Synthesis
step has been performed (using the quartus_map executable).

quartus_fit fir_filter --fmax=80MHz
quartus_tan fir_filter
quartus_tan fir_filter --fmax=100MHz --tao=timing_result-100.tao
--write_settings_files=off

The first command, quartus_fit fir_filter --fmax=80MHz, runs the Quartus II Fitter and specifies a global
fMAX requirement of 80 MHz.

The second command, quartus_tan fir_filter, runs Quartus II timing analyzer for the results of the previous
fit.

The third command reruns Quartus II timing analyzer with a global Fmax requirement of 100 MHz and
saves the result in a file called timing_result-100.tao. By specifying the --write_settings_files=off option,
the command-line executable does not update the QSF to reflect the changed Fmax requirement. The
compiler database files reflect the changed Fmax requirement. If the --write_settings_files=off option is not
specified, the command-line executable updates the QSF to reflect the 100 MHz global fMAX requirement.

Use the --read_settings_files=off and --write_settings_files=off options (where appropriate) to optimize the
way that the Quartus II software reads and updates the QSF. The following example shows how to avoid
unnecessary QSF reading and writing.

quartus_map filtref --source=filtref --part=ep1s10f780c5
quartus_fit filtref --fmax=100MHz --read_settings_files=off
quartus_tan filtref --read_settings_files=off --write_settings_files=off
quartus_asm filtref --read_settings_files=off --write_settings_files=off

The quartus_tan and quartus_asm executables do not need to read or write settings files because they do
not change any settings in the project.

Table 2–4. Location for Writing Assignments

Option Specified

Location for Writing Assignments

--export_settings_files=on (Default)

1. Quartus II Settings File (.qsf)
2. Compiler database

--export_settings_files=off

1. Compiler database

Advertising